You are here

SoC Silicon and Software Design Cost Analysis

SKU: SC103-23

The semiconductor industry is faced with several substantial issues—the continuing rise in design costs for complex SoCs, the decrease in the incidence of first-time-right designs and the increase in the design cycle time against shrinking market windows and decreasing product life cycles.  EDA tools that allow for closer collaboration between silicon and software design teams provides a better vision of the needs of both groups. 

Additionally, the introduction of EDA tools with AI functionality is aimed at making designers more efficient and productive in their design efforts. AI-powered EDA tools will provide benefits especially in the areas of SPICE modelling, simulation, verification, and architectural exploration.

Moreover, the use of IP subsystems allows designers to design faster and enables them to produce higher performing, more highly differentiated solutions with less effort than is the case today using a myriad of discrete IP blocks to accomplish the same functionality levels.

In a unique, insightful look at this constantly evolving market, Semico Research's new report, Silicon and Software Design Cost Analysis (SC103-23)examines the primary forces and integration pressures that are driving this market today in 126 pages, with 42 tables and 73 graphs. 

Table of Contents: 

For pricing and additional information contact Rick Vogelei at (480) 435-8564 or email him.

Monthly archive

Twitter